Всем привет. Я все таки решился на то, чтобы рассказать вам про MegaWizard, который находится в Quartuse, но я расскажу только про самую малость того, что в нем есть.

Если зайти в Symbol Tools, то там есть кнопка внизу MegaWizard Plug-in Manager и откроется обширный список того, что там есть, по названию не совсем понятно будет , что же это такое, но не унывайте на сайте Altera я нашел описание практически всех функций.

А расскажу я про генератор или если быть точнее ALTPLL это такой блок который отвечает за умножение и деление частоты, к примеру у вас генератор стоит на 100мгц, а вам надо для работы 50мгц. Как ее получить? Правильно через делитель частоты, но если Вам нужна больше частота к примеру 500мгц, то тогда нужен умножитель, все это делает у нас ALTPLL.

В следующей статье будет про, грубо говоря мультиплексор, рассказал бы про память RAM , но я ее до конца еще не освоил, или мне так просто кажется=)

И так приступим.
megawizrd1

далее Quartus у нас спрашивает , что мы будем делать?
megawizrd2

Догадались? Создаем новую кастомную мегафункцию, такую которую нам надо
megawizrd3

функция ALTPLL находится в папке I/O. Справа называем нашу функцию и тип.

Для 15 квартуса процесс начального запуска немного отличается, но суть таже
megawizrd_q15

В итоге появится такое окно, только не пугайтесь, здесь все просто.
megawizrd4

В рамке General, наверху справа, выбираем цифру(последняя цифра), которая указана на нашей ПЛИС. У меня EP4CE6E22C8N я выставляю цифру 8(1). Эта цифра отвечает за скорость, чем она меньше тем, ПЛИС быстрее. Далее, чуть ниже , я выставляю частоту генератора, который у меня подключен к ПЛИС, допустим у меня 50МГц(2) (позже будет видео про ALTPLL, я надеюсь на это).

Input/Lock. На этом этапе нужно снять галочки. Пины locked (заблокирован) и areset(асинхронный сброс) нам не нужны.
megawizrd5

Bandwidth/SS (полоса пропускания) здесь оставим настройки в авто.
megawizrd6

Clock switchhover. Если у вас есть еще один генератор, то ставим галочку, и указываем его частоту, но у меня его нет, я галочку не ставлю.
megawizrd7

PLL Reconfiguration. Если поставить галочку, то появятся дополнительные входы позволяющие, переконфигурировать ALTPLL. Нам это не нужно
megawizrd8

Тут самое интересное, в этой графе мы работаем с делителем и умножителем частоты
megawizrd9

под цифрой 1 мы можем сами написать ту частоту которая нас интересует, либо управлять цифрой 2 и умножать частоту, максимум я получил 1.3ГГц из 50МГц, цифрой 3 мы уменьшаем частоту. например нам нужна частота 48МГц на которой работает USB. мы либо пишем эту частоту , либо ставим умножитель 24 , а делитель 25.
megawizrd10

также , мы можем от одного генератора, сделать сразу 5 тактовых сигналов , с разной частотой, кому то возможно это пригодится.
megawizrd11

тут мы оставляем все без изменений.
megawizrd12

нажимаем кнопку Finish и вот все мы создали наш делитель частоты.
megawizrd13

Quartus нас спрашивает добавить ли наш новый файл в проект, на что мы ему отвечаем Yes.
megawizrd14

Получаем наш файл в проекте, подключаем к нему вход и выход и радуемся. Всем Спасибо. Ждем продолжения.

3 комментария: ПЛИС. MegaWizard. ALTPLL

  • Braimik, скомпилировался ли твой проект? У меня не хочет 1ГГц компилировать, говорит, что максимум 250 МГц можно..

  • Михаил, вполне естественно что камень имеет аппаратные ограничения на частоту, поэтому 1ГГц вы не получите.

  • Есть описание altpll на русском языке подобно этому (https://www.altera.com/en_US/pdfs/literature/ug/ug_altpll.pdf)? Не обязательно столь же подробно, главное чтобы были описаны эти входы и выходы (1. inclk[1..0]
    2. fbin
    3. plena
    4. clkswitch
    5. areset
    6. pfdena
    7. clkena[5..0]
    8. extclkena[3..0]
    9. scanclk
    10. scanaclr
    11. scandata
    12. scanread
    13. scanwrte

    1. clk[5..0]
    2. extclk[3..0]
    3. clkbad[1..0]
    4. activelock
    5. clkloss
    6. locked
    7. scandataout
    8. scandone
    )

Добавить комментарий

Ваш e-mail не будет опубликован. Обязательные поля помечены *

Последние комментарии
  • Загрузка...
Счетчик
Яндекс.Метрика