ПЛИС

Добрый вечер всем, статья вышла не на ту тему, что предполагалось, но тоже полезная. Она касается языка программирования Verilog. В данной статье мы рассмотрим такие действия, как создания модуля написанного на Verilog (может быть уже есть такая статья на сайте, но повторение мать заикания), плюс подключение дополнительно модуля написанного нами ранее (я заранее написал отдельно, чтобы было что подключать)
Читать далее

Всем добрый день.  При работе с Quartus II многие хотят видеть , как же будет работать программа после прошивки  в камень. В Quartus II у нас есть такая штука WaveFrom(в новых версиях по другому называется) и так мы создаем наш проект, либо продолжаем предыдущий проект
Читать далее

Последние комментарии
  • Загрузка...
Счетчик
Яндекс.Метрика